DELAY ANALYSIS OF A CMOS BUFFER DRIVEN RLC INTERCONNECT LOAD FOR SUB-THRESHOLD APPLICATIONS

Rohit Dhiman and Rajeevan Chandel

References

  1. [1] S. Hanson, M. Seok, D. Sylvester, and D. Blauw, Nanometerdevice scaling in sub-threshold circuits, Proc. Design Automa-tion Conference, San Diego, CA, 2007, 700–705.
  2. [2] H. Soeleman, K. Roy, and B. Paul, Sub-domino logic: Ultra-low power dynamic sub-threshold digital logic, Proc. 14thInternational Conference on VLSI Design, Bangalore, India,2001, 211–214.
  3. [3] H.B. Bakoglu and J.D. Meindl, Optimal interconnection circuitsfor VLSI, IEEE Transactions on Electron Devices, ED-32 (5),1985, 903–909.
  4. [4] R. Chandel, S. Sarkar, and R.P. Agarwal, Delay and powermanagement of voltage-scaled repeaters for long interconnects,International Journal of Modelling & Simulation, ACTA Press,27(4), 2007, 333–339.
  5. [5] Y.I. Ismail and E.G. Friedman, Repeater design to reduce delayand power in resistive interconnect, IEEE Transactions onCircuits and Systems II: Analog and Digital Signal Processing,45 (5), 1998, 607–616.
  6. [6] C.Y. Wu and M. Shiau, Accurate speed improvement techniquesfor RC line and tree interconnections in CMOS VLSI, Proc.IEEE International Symposium on Circuits and Systems, NewOrleans, LA, 1990, 2.1648–2.1651.
  7. [7] C.Y. Wu, Delay models and speed improvement techniquesfor RC tree interconnections among small-geometry CMOSinverters, IEEE Journal of Solid-State Circuits, 25(5), 1990,1247–1256.
  8. [8] H. Shichman and D.A. Hodges, Modeling and simulation ofinsulated gate field-effect transistor switching circuits, IEEEJournal of Solid-State Circuits, SC-3 (3), 1968, 285–289.
  9. [9] M. Nekili and Y. Savaria, Optimal methods of driving intercon-nections in VLSI circuits, Proc. IEEE International Symposiumon Circuits and Systems, San Diego, CA, 1992, 21–23.
  10. [10] A. Morgenshtein, E.G. Friedman, R. Ginosar, and A. Kolodny,Unified logical effort – A method for delay evaluation and min-imization in logic paths with RC interconnect, IEEE Transac-tions on Circuits and Systems, 18(5), 2010, 689–696.
  11. [11] S. Roy and A. Dounavis, Efficient delay and crosstalk modelingof RLC interconnects using delay algebraic equations, IEEETransactions on Very Large Scale Integration (VLSI) Systems,19(2), 2011, 342–346.
  12. [12] G. Antonini, Spectral models for the estimation of delay andcosstalk in high-speed interconnects, IEEE Transactions onElectromagnetic Compatibility, 52(3), 2010, 728–736.
  13. [13] J.A. Davis and J.D. Meindl, Compact distributed RLC inter-connect models – Part I: Single line transient, time delay, andovershoot expressions, IEEE Transactions on Electron Devices,47(11), 2000, 2068–2077.
  14. [14] R. Arunachalam, F. Dartu, and L.T. Pileggi, CMOS gate delaymodels for general RLC loading, Proc. IEEE InternationalConference on Computer Design, Austin, TX, 1997, 224–229.
  15. [15] K.T. Tang and E.G. Friedman, Delay and power expres-sion characterizing a CMOS inverter driving an RLC load,Proc. IEEE International Symposium on Circuits and Systems,Geneva, 2002, 283–286.
  16. [16] R. Wang, K. Roy, and C.K. Koh, Short-circuit power analysisof an inverter driving an RLC load, Proc. IEEE InternationalSymposium on Circuits and Systems, Sydney, NSW, Australia,2001, 886–889.
  17. [17] R. Chandel, S. Sarkar, and R.P. Agarwal, An analysis of inter-connect delay minimization by low-voltage repeater insertion,Microelectronics Journal, 38 (4–5), 2007, 649–655.22
  18. [18] Berkeley Predictive Technology Model (BPTM), 2010.http://www.ptm.asu.edu.
  19. [19] J.M. Rabaey, Analysis and Design of Digital IntegratedCircuits – A Design Perspective (TMH, NJ: Prentice-Hall,2003).
  20. [20] J. Nyathi and B. Bero, Logic circuits operating in the sub-threshold voltages, Proc. International Symposium on LowPower Electronics and Design, Tegernsee, Bavaria, Germany,2006, 131–134.
  21. [21] K. Agarwal, D. Sylvester, and D. Blaauw, Modeling andanalysis of crosstalk noise in coupled RLC interconnects, IEEETransactions on Computer-Aided Design of Integrated Circuitsand Systems, 25(5), 2006, 892–901.
  22. [22] D. Sylvester, H. Chenming, O.S. Nakagawa, and O. Soo-Young,Interconnect scaling: signal integrity and performance in futurehigh-speed CMOS designs, Symposium on VLSI TechnologyDigest of Technical Papers, Honolulu, USA, 1998, 97–98.
  23. [23] B.K. Kaushik, S. Sarkar, R.P. Agarwal, and R.C. Joshi,Crosstalk reduction by voltage scaling in global VLSI Inter-connects, Journal of Active and Passive Electronic Devices,2(3), 2007, 199–221.
  24. [24] D. Bol, R. Ambroise, D. Flander, and J. Legat, Impactof technology scaling on digital subthreshold circuits, IEEESymposium on VLSI, Monpellier, France, 2008, 179–184.
  25. [25] H. Li, W.Y. Win, and J.F. Mao, Modelling of carbon nanotubeinterconnects and comparative analysis with Cu interconnects,Proc. Asia-Pacific Microwave Conference, Shanghai, China,2006, 1361–1364.
  26. [26] S.M. Kang and Y. Leblebici, CMOS Digital Integrated Circuits:Analysis and Design, A Design Perspective (New York: TataMcGraw Hill, 2003).
  27. [27] S. Soleimani, A. Sammak, and B. Forouzandeh, A novel ultra-low energy bulk dynamic threshold inverter scheme, Proc.International MultiConference of Engineers and ComputerScientists, Hong Kong, 2009, 1388–1391.

Important Links:

Go Back